Advertisement
UK markets close in 6 hours 43 minutes
  • FTSE 100

    7,833.48
    -43.57 (-0.55%)
     
  • FTSE 250

    19,282.09
    -168.58 (-0.87%)
     
  • AIM

    741.04
    -4.25 (-0.57%)
     
  • GBP/EUR

    1.1686
    +0.0002 (+0.02%)
     
  • GBP/USD

    1.2439
    +0.0000 (+0.00%)
     
  • Bitcoin GBP

    51,830.66
    +2,434.55 (+4.93%)
     
  • CMC Crypto 200

    1,332.86
    +20.23 (+1.54%)
     
  • S&P 500

    5,011.12
    -11.09 (-0.22%)
     
  • DOW

    37,775.38
    +22.07 (+0.06%)
     
  • CRUDE OIL

    82.82
    +0.09 (+0.11%)
     
  • GOLD FUTURES

    2,396.70
    -1.30 (-0.05%)
     
  • NIKKEI 225

    37,068.35
    -1,011.35 (-2.66%)
     
  • HANG SENG

    16,224.14
    -161.73 (-0.99%)
     
  • DAX

    17,684.23
    -153.17 (-0.86%)
     
  • CAC 40

    7,970.97
    -52.29 (-0.65%)
     

Global Hexamethyldisilazane (HMDS) Market (Value, Volume) – Analysis By Application, By Type, By Region, By Country (2021 Edition): Market Insights and Forecast with Impact of COVID-19 (2021-2026)

Executive Summary Global Hexamethyldisilazane Market was valued at USD 202. 32 Million and xx Kilotonne by volume in the year 2020. Hexamethyldisilane (HMDS) is an organosilicon compound used as a reagent or precursor to bases and is primarily used as a silylating agent in the process of manufacturing pharmaceutical drugs like antibiotics (Cefprozil and Cefadroxil) and ARVs (Sofosbuvir, Lamivudine and Emtricitabine).

New York, July 02, 2021 (GLOBE NEWSWIRE) -- Reportlinker.com announces the release of the report "Global Hexamethyldisilazane (HMDS) Market (Value, Volume) – Analysis By Application, By Type, By Region, By Country (2021 Edition): Market Insights and Forecast with Impact of COVID-19 (2021-2026)" - https://www.reportlinker.com/p06102463/?utm_source=GNW
It may also be used in semiconductor industry and in vinyl silicone rubber to improve their tearing strength.

Globally, the Hexamethyldisilazane market is mainly driven by growing demand for semiconductor processing and pharmaceutical intermediate. For pharmaceutical application, HMDS is used as functional group protective agent, catalyst, and activator. For example, it can be used to produce antibiotics drugs (Cefomycin, Amikacin, and Penicillin etc.), cardiovascular drugs (Rosuvastatin, Atorvastatin Calcium etc.) and nucleoside drugs (Lamivudine, Sofosbuvir, Zidovudine, Cytarabine, Capecitabine, and Fluorouracil).

Furthermore, the other major growth drivers for hexamethyldisilazane market are the wide application areas such as organic synthesis, surface treatment, and semiconductors. In case of electronics industry, it is used in manufacturing of coatings, tailing agents, rubbers or as a semiconductor. It is also used as an adhesive and sealant in automotive care products.

For surface treatment application, it can be used to modify the surface of white carbon black powder, diatomaceous earth powder to improve hydrophobicity, reinforcement, tear resistance. For lithium battery electrolyte application, it can improve the electrochemical and cycling performance of lithium-ion battery. With high volatility and low impurity residue, HMDS can be used as anti-corrosion adhesion assistant agent for electronic cleaning agent, semiconductor photoresist and positive photoresist.

The North America region dominates the hexamethyldisilazane market. The growth in the hexamethyldisilazane market is driven by the mounting silicone industry in the region. The region is a leading market for medical grade silicones driven by robust growth in the healthcare industry and the availability of several large manufacturers in the region. The key aspect that drives the growth of the market includes the rising demand for medical implantable devices owing to the rising chronic cardiovascular diseases across the region. The increasing number of ageing population, high healthcare spending, along with the rising prevalence of cardiovascular diseases are some of the factors that demand for implantable devices, which, in turn, enhances the growth of the market over the forecast period.

Scope of the Report
• The report presents the analysis of Hexamethyldisilazane Market for the historical period of 2016-2020 and the forecast period of 2021-2026.

• The report analyses Hexamethyldisilazane Market By Value and By Volume (By Production).

• The report analyses Hexamethyldisilazane Market By Application (Pharmaceutical, Semiconductor, Rubber, Others).

• The report further assesses the Hexamethyldisilazane Market By Type (Purity ? 99.5 and Purity ? 99.0).

• The Global Hexamethyldisilazane has been analysed By Region (North America, Europe and Asia Pacific) and By Country (United States, Canada, Germany, United Kingdom, China, India).

• The key insights of the report have been presented through the frameworks of Major Mergers & Acquisitions. Also, the attractiveness of the market has been presented By Region, By Application and By Type. Additionally, trends, drivers and challenges of the industry has been analysed in the report.

• The companies analysed in the report include DuPont, Shin-Etsu, Wacker Chemie, Evonik Industries, Chemcon Speciality Chemicals Limited and BRB International BV.

Key Target Audience

• Healthcare and Pharmaceutical Companies

• Traders, Importers, and Exporters

• Raw Material Suppliers and Distributors

• Semiconductor Companies

• Research and Consulting Firms

• Government and Research Organizations

• Associations and Market Bodies
Read the full report: https://www.reportlinker.com/p06102463/?utm_source=GNW

About Reportlinker
ReportLinker is an award-winning market research solution. Reportlinker finds and organizes the latest industry data so you get all the market research you need - instantly, in one place.

__________________________

CONTACT: Clare: clare@reportlinker.com US: (339)-368-6001 Intl: +1 339-368-6001