Advertisement
UK markets closed
  • FTSE 100

    7,895.85
    +18.80 (+0.24%)
     
  • FTSE 250

    19,391.30
    -59.37 (-0.31%)
     
  • AIM

    745.67
    +0.38 (+0.05%)
     
  • GBP/EUR

    1.1607
    -0.0076 (-0.65%)
     
  • GBP/USD

    1.2370
    -0.0068 (-0.55%)
     
  • Bitcoin GBP

    51,636.53
    -868.12 (-1.65%)
     
  • CMC Crypto 200

    1,371.97
    +59.34 (+4.52%)
     
  • S&P 500

    4,967.23
    -43.89 (-0.88%)
     
  • DOW

    37,986.40
    +211.02 (+0.56%)
     
  • CRUDE OIL

    83.24
    +0.51 (+0.62%)
     
  • GOLD FUTURES

    2,406.70
    +8.70 (+0.36%)
     
  • NIKKEI 225

    37,068.35
    -1,011.35 (-2.66%)
     
  • HANG SENG

    16,224.14
    -161.73 (-0.99%)
     
  • DAX

    17,737.36
    -100.04 (-0.56%)
     
  • CAC 40

    8,022.41
    -0.85 (-0.01%)
     

Global Semiconductor Equipment Report 2020: Focus on China with Profiles of 49 Leading Suppliers

Dublin, Oct. 14, 2020 (GLOBE NEWSWIRE) -- The "The Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts" report from The Information Network has been added to ResearchAndMarkets.com's offering.

Semiconductor manufacturers are accelerating their shrinkage roadmap. The demand for semiconductor equipment is largely driven by the additional tools required to migrate chip designs to leading-edge nodes. With capacity-driven capex slowing down, semiconductor equipment demand may be unaffected if chipmakers are moving more aggressively to the leading-edge nodes.

China will further accelerate its semiconductor roadmap and capacity-building progress:

China has been actively expanding its semiconductor-production capacity and manufacturing facilities. There has been no significant disruption to China's semiconductor industry during the COVID-19 outbreak. For example, Wuhan-based Yangtze Memory Technologies (YMTC) continued operations throughout the lockdown. China currently spends about $5bn on wafer-fab equipment and it can help to offset any potential capex cut from the large chipmakers if it believes its current progress justifies additional investment.

The semiconductor equipment industry's revenue is highly sensitive to the type of chips that are driving demand. The level of semiconductor capex spent by chipmakers:

Semiconductor equipment players' revenues are highly sensitive to the capex of chipmakers, such as foundry/logic players (Intel, Taiwan Semiconductor Manufacturing Company, Samsung LSI) and memory players (Micron, SK Hynix and Samsung).

General demand and types of chips required:

New semiconductor equipment is required more in making leading-edge chips and computationally intense applications, such as application processors, server chips and CPUs.

The demand for semiconductor equipment is largely driven by the additional tools required for chipmakers to migrate to leading-edge nodes. This is especially the case because ALD tools are required more in foundry and logic chip manufacturing processes. Foundry and logic players are, compared with memory manufacturers, more committed to their shrinkage roadmaps and are therefore more likely to invest in advanced tools, such as ALD.

This report describes the semiconductor equipment markets for 27 different sectors and profiles 49 leading equipment suppliers in the U.S., Europe, and Asia. Market shares for each company for each sector are presented.

Key Topics Covered:

Chapter 1 Introduction

Chapter 2 Semiconductor Industry Driving Forces
2.1 Introduction
2.2 Foundries
2.2.1 Foundry Capex Trends
2.2.2 Foundry Revenue Growth
2.2.3 Foundry Market Share
2.2.4 Revenue Growth by Foundry
2.2.5 Global Foundry Market Trend by Application
2.2.6 Global Foundry Market Trend by Customer Type
2.2.7 Global Foundry Market Trend by Device
2.2.8 Foundry Technology Linewidth Roadmap
2.2.9 Capacity trend by linewidth
2.2.10 Capacity ratio by region
2.3 DRAM
2.3.1 Present and Future Capacity of DRAM by Manufacturer
2.3.2 DRAM Revenue Demand Forecast By Application
2.3.3 DRAM Market Shares
2.3.4 DRAM Capex
2.4 NAND
2.4.1 NAND Revenue Demand Forecast By Applications
2.4.2 NAND Market Shares
2.4.3 3D NAND Capacity
2.5 China
2.5.1 China's Semiconductor Infrastructure
2.5.2 China's Semiconductor Market
2.5.3 China's IC Production
2.5.4 China's IC Consumption
2.5.5 China's IC Production/Consumption Ratio
2.5.6 China's IC Import Requirements
2.5.7 China's IC Supply/Demand
2.5.8 China's IC Fab Capacity
2.5.9 China's IC Fab Capacity by Region
2.5.10 China's 8- And 12-Inch Fabs and Foundries
2.5.11 China's IC Fab Capacity by Wafer Size
2.5.12 China's IC Fab Capacity by Geometry
2.5.13 China's IC Production by Type

Chapter 3 Market Business Sectors Shares and Forecast
3.1 AMHS Market Shares and Forecast
3.2 CMP Market Shares and Forecast
3.3 Clean Market Shares and Forecast
3.3.1 Plasma Strip
3.3.2 Spray Processors
3.3.3 Wet Stations
3.4 Deposition Market Shares and Forecast
3.4.1 ALD
3.4.2 Epitaxy
3.4.3 MOCVD
3.4.4 LPCVD (non-tube)
3.4.5 LPCVD (tube)
3.4.6 PECVD
3.4.7 PVD
3.5 Ion Implantation Market Shares and Forecast
3.5.1 High-Current
3.5.2 High-Energy
3.5.3 Medium-Current
3.6 Lithography Market Shares and Forecast
3.6.1 Direct-Write E-Beam Lithography
3.6.2 Mask-Making Lithography
3.6.3 Steppers
3.7 Oxidation/Diffusion Market Shares and Forecast
3.8 Photoresist Processing (Track) Market Shares and Forecast
3.9 RTP Market Shares and Forecast
3.10 Plasma Etch Market Shares and Forecast
3.10.1 Dielectric
3.10.2 Conductive
3.11 Process Control Market Shares and Forecast
3.11.1 Lithography Metrology
3.11.2 Thin-Film Metrology
3.11.3 Wafer Inspection and Defect Review
3.12 Wafer-Level Packaging Market Shares and Forecast

Chapter 4 U.S. Semiconductor Equipment Suppliers - Profiles
4.1 Applied Materials
4.2 Axcelis Technologies
4.3 KLA-Tencor
4.4 Lam Research
4.5 Nanometrics
4.6 Rudolph Technologies
4.7 Veeco

Chapter 5 European Semiconductor Equipment Suppliers - Profiles
5.1 Aixtron
5.2 ASM International
5.3 ASML
5.4 Carl Zeiss
5.5 Camtek
5.6 EV Group
5.7 LPE
5.8 Mycronic
5.9 Nova Measuring Instruments
5.10 Oerlikon / Evatec
5.11 Semilab

Chapter 6 Asian Semiconductor Equipment Suppliers - Profiles
6.1 Advantest
6.2 Canon
6.3 Canon Anelva
6.4 Daifuku
6.5 Ebara
6.6 Eugene Technology
6.7 Hitachi High-Technologies
6.8 Hitachi Kokusai Electric
6.9 JEOL
6.10 Jusung Engineering
6.11 KC Tech
6.12 Lasertec
6.13 Murata Machinery
6.14 Nikon
6.15 Nippon Sanso
6.16 Nissin Ion Equipment
6.17 NuFlare Technology
6.18 PSK
6.19 Screen Semiconductor Solutions
6.20 SEMES
6.21 SEN
6.22 TES
6.23 Tokyo Electron
6.24 Tokyo Seimitsu
6.25 Topcon Technohouse
6.26 Toray Engineering
6.27 Ulvac
6.28 Ushio
6.29 Wonik IPS

For more information about this report visit https://www.researchandmarkets.com/r/yr041f

Source: The Information Network

Research and Markets also offers Custom Research services providing focused, comprehensive and tailored research.

CONTACT: CONTACT: ResearchAndMarkets.com Laura Wood, Senior Press Manager press@researchandmarkets.com For E.S.T Office Hours Call 1-917-300-0470 For U.S./CAN Toll Free Call 1-800-526-8630 For GMT Office Hours Call +353-1-416-8900